News

Share/Save/Bookmark

21 April 2010

 

LayTec sells first EpiCurveTriple TT system

LayTec GmbH of Berlin, Germany, which provides in-situ optical metrology equipment for thin-film processes, has sold its first EpiCurve Triple TT tool to a leading LED maker in the USA.

The in-situ system will be used for GaN-based LED production in a multiple-ring MOCVD reactor. LayTec says that the unique combination of a Curve optical head for wafer bowing control and three EpiTT heads for temperature and reflectance measurements (Figure 1) will be the first metrology system of this kind.

EpiCurve Triple TT is designed specifically for applications in large showerhead-type MOCVD reactors such as CRIUS for GaN LED production and GaN/Si applications. As in LayTec’s EpiTriple TT, the three EpiTT heads of the EpiCurve Triple TT measure reflectance and emissivity-corrected pyrometry at three different radial positions and enable absolute temperature control of growth on all heating zones of the succeptor. The accuracy of the temperature measurement after calibration by LayTec’s new AbsoluT tool is better than ±1K. Online growth-rate analysis with an accuracy of up to ±0.001nm/s is also possible.

Additionally to this, the Curve head suits strain control and wafer bow measurements, helping to minimize bowing-related non-uniformities in GaN LED production on 4-inch and larger wafers.

*LayTec invites all its customers to its 13th in-situ seminar, to be held in conjunction with the 15th International Conference on Metal Organic Vapor Phase Epitaxy (IC MOVPE XV) in Lake Tahoe, NV, USA on 24 May (7–9pm). For information, e-mail info@laytec.de.

See related items:

LayTec adds business development manager for China and Taiwan

LayTec develops AbsoluT for precise on-site temperature calibration

LayTec ranked 30th out of Germany's top 50 fastest growing companies

See: LayTec Company Profile

Search: LayTec In-situ monitoring EpiCurve LEDs GaN

Visit: www.laytec.de

Visit: www.tms.org/Meetings/Specialty/icmovpe-xv