AES Semigas

IQE

16 September 2022

AlixLabs granted second US patent for Atomic Layer Etch Pitch Splitting (APS)

After being granted its first US patent (US10930515) on in February 2021, AlixLabs AB of Lund, Sweden was recently (on 23 August) granted its second patent (US11424130) by the US Patent Office for nanofabrication using its Atomic Layer Etch Pitch Splitting (APS) technique, a new method for manufacturing semiconductor components with a high degree of packing, eliminating several steps in the manufacturing process.

The patent covers methods to split nanostructures in half by a single process step using atomic layer etching (ALE). “Our key technology is based on a surprising discovery that sidewalls act as a topographical mask in atomic layer etch processes,” notes chief technology officer & co-founder Dr Dmitry Suyatin. “This technology has been proven for such different materials as gallium phosphide (GaP), silicon (Si) and tantalum nitride (TaN) – all being critical materials to the semiconductor and optoelectronic industry,” he adds.

AlixLabs says that the method can have a significant impact on the semiconductor industry by enabling sustainable scaling of electronic components and shrink chip designs further in a cost-effective way. The method is complementary for single-exposure immersion and extreme ultraviolet (EUV) lithography and corresponding multiple patterning technologies like self-aligned double and quadruple patterning (SADP and SAQP, respectively) as well as multiple exposure lithography-etch and directed self-assembly (DSA).

It is hence reckoned that APS can make components cheaper and less resource-intensive to manufacture, and open up a new path for more sustainable mass production of electronic products. It also makes it possible to manufacture semiconductor components accurately and efficiently with manageable wafer fab equipment investments.

“ALixLabs has been finalized a SEK1m Vinnova-funded project to verify the APS technology in the fabrication and electrical characterization of nanowire test transistor architectures this summer,” notes R&D & operation manager Dr Amin Karimi.

“The strategy as we advance is to successfully transfer the APS technology to 300mm wafer processing and making it readily available for process demonstration for the leading IDMs and foundries,” states CEO & co-founder Dr Jonas Sundqvist. “We don’t only hope to cut cost in semiconductor manufacturing but also to reduce the energy and clean water demand and output of greenhouse gases during chip manufacturing considerably.”

“We have more patent applications in the pipeline,” concludes Suyatin.

Tags: ALE

Visit: www.alixlabs.com

Book This Space