AES Semigas

IQE

3 June 2024

AlixLabs gains SEK2.5m grant from Sweden’s Vinnova

AlixLabs AB of Stockholm, Sweden — which was spun off from Lund University in 2019 and has developed Atomic Layer Etching (ALE) Pitch Splitting technology (APS) — has been granted SEK2.5m (equivalent to about €220,000) by Sweden’s innovation agency Vinnova, for continuous R&D of its semiconductor products, starting September 2024 through August 2026. The funding is part of a SEK4m (about €350,000) grant from the strategic innovation program Electronic Components and Systems and its Research and Innovation Projects 2024, with SEK1.5m going to Halmstad University.

The funding will allow AlixLabs to continue commercialization of its ALE processes and associated equipment which, the firm says, can enable semiconductor manufacturers to streamline their production workflows, cutting down the number of manufacturing steps required to turn silicon into chips.

“We are proud to be recognized as an important innovator in Sweden, despite the Swedish semiconductor cluster being of modest size on a global scale,” says CEO & co-founder Jonas Sundqvist. “With the help of Vinnova and by extension all of Sweden, we are closing in on our goal, which is to make advanced semiconductor production more energy efficient and sustainable.”

APS can be used, for example, to split a 40nm-wide nanostructure into two that measure 10nm across – etching with never-before seen precision rather than relying on expensive EUV (extreme ultraviolet) lithography equipment.

“Our products will offer semiconductor giants such as TSMC, Samsung and Intel ways to streamline their future production workflows,” says Sundqvist. “The same products also enable those who are not necessarily at the bleeding edge of semiconductor production, such as UMC, GlobalFoundries and Tower Semiconductor, to advance manufacturing in a cost-effective manner.”

See related items:

EU Intellectual Property Office grants trademark registration for AlixLabs’ APS process

AlixLabs raises SEK40m to industrialize Atomic Layer Etching Pitch Splitting nanofabrication technique

Tags: ALE

Visit: www.alixlabs.com

RSS

Book This Space